Companies

IMEC

IMEC Articles

Displaying 21 - 40 of 393
Medical
30th June 2021
Ultrasound sensor for determining arterial stiffness

Imec has presented a proof-of-concept for determining arterial stiffness, a risk marker for cardiovascular diseases such as stroke and heart failure, and monitoring blood pressure. Imec’s approach uses an ultrasound sensor to measure the central pulse wave velocity.

Design
4th May 2021
GaN epitaxy on AIX G5+ C for 1200V demonstrated

Imec and AIXTRON have demonstrated epitaxial growth of gallium-nitride (GaN) buffer layers qualified for 1,200V applications on 200mm QST substrates, with a hard breakdown exceeding 1,800V. The manufacturability of 1,200V-qualified buffer layers opens doors to highest voltage GaN-based power applications such as electric cars, previously only with feasible silicon-carbide (SiC)-based technology.

Design
9th March 2021
High-chi 18nm pitch line/space patterning demonstrated

At the 2021 SPIE Advanced Lithography Conference, imec demonstrated the capability of directed self-assembly (DSA) to pattern line/spaces with a pitch as small as 18nm, using a high-chi block copolymer (high-χ BCP) based process under high volume manufacturing (HVM) conditions.

Design
24th February 2021
The single-exposure patterning capability of EUVL

At the 2021 SPIE Advanced Lithography Conference, imec and ASML presented several papers that demonstrate the ultimate single-exposure patterning capability of today’s 0.33NA NXE:3400 extreme ultraviolet lithography (EUVL).

Design
17th February 2021
Sub-5mW, IEEE 802.15.4z ultra-wideband transmitter chip

At the International Solid-State Circuits Conference (ISSCC), imec showcased its first IEEE 802.15.4z impulse-radio ultra-wideband (IR-UWB) transmitter chip striking a balance between UWB’s accurate and secure ranging capabilities for indoor localisation and the need for increased energy efficiency.

Optoelectronics
10th February 2021
Pitch line imaging with high-NA EUV interference lithography

Imec has reported the use of a 13.5nm High Harmonic Generation source for the printing of 20nm pitch line/spaces using interference lithographic imaging of an Inpria metal-oxide resist under high-numerical-aperture (high-NA) conditions.

Sensors
6th January 2021
BioFETs for high-sensitivity molecule detection

Achieving ultrasmall dimensions (13nm fin width and 50nm gate length), and fabricated with a CMOS-compatible process flow in imec’s 300mm cleanroom, imec envisions volume manufacturing and integration into high-throughput, cost-effective detection tools, with 10,000s of these ‘BioFETs’ working in parallel.

Memory
16th December 2020
Novel 2T0C dynamic random-access memory cell architecture

At the 2020 International Electron Devices Meeting, imec presented a novel dynamic random-access memory (DRAM) cell architecture that implements two indium-gallium-zinc-oxide thin-film transistors (IGZO-TFTs) and no storage capacitor.

Sensors
14th December 2020
Thin-film short-wave-infrared image sensor

Imec has presented a prototype high-resolution short-wave-infrared (SWIR) image sensor with record small pixel pitch of 1.82 µm. It is based on a thin-film photodetector that is monolithically integrated on a custom Si-CMOS readout circuit. A fab-compatible process flow paves the way to high-throughput, wafer-level manufacturing. 

Optoelectronics
30th November 2020
Industrial grade hyperspectral camera solution

imec and XIMEA have announced their intensified collaboration that has resulted in a series of new hyperspectral cameras (xiSpec2). The cameras come with a high spectral fidelity and the necessary tools and after-sales support to match the needs of the rapidly evolving machine vision market, including guaranteed spectral data quality, ease of use, and calibration.

Security
24th November 2020
Security flaws in Tesla Model X keyless entry system

Researchers from COSIC, an imec research group at the University of Leuven in Belgium, have discovered major security flaws in the keyless entry system of the Tesla Model X.

Medical
15th September 2020
Smart contact lens mimics the human iris to combat eye deficiencies

Imec and CMST (an imec-affiliated research group at Ghent University), together with their partners the Instituto de Investigación Sanitaria Fundación Jiménez Díaz (Madrid, Spain) and Holst Centre (an open innovation initiative from imec and TNO, the Netherlands) have presented an artificial iris embedded in a smart contact lens.

Design
5th August 2020
mmWave motion detection radar chip for health tracking

This week at the virtual conference IEEE RFIC, imec presented a millimetre-wave motion detection radar at 60GHz, integrated in standard 28nm CMOS. Achieving 2-cm range resolution, the ultra-sensitive radar is optimized for vital sign monitoring and gesture recognition. The compact radar chip only consumes 62 mW, making the sensor integrable into small, battery-powered devices

Artificial Intelligence
3rd August 2020
AI chip bringing deep neural network calculations to IoT

Imec and GLOBALFOUNDRIES (GF) have announced a hardware demonstration of a new artificial intelligence chip. Based on imec’s Analog in Memory Computing (AiMC) architecture utilizing GF’s 22FDX solution, the new chip is optimized to perform deep neural network calculations on in-memory computing hardware in the analog domain.

Latest
23rd June 2020
Deltaray enables zero-defect product manufacturing

Deltaray, a spin-off of Antwerp University and imec, has introduced its ‘Accelerated 3D XRAY’ technology to enable zero-defect product manufacturing. Deltaray’s solution allows manufacturers to inspect products for possible defects up to 100 times faster and with a much finer granularity (up to 50 microns).

Memory
19th June 2020
Imec overcomes fundamental operation challenge for MRAMs

At the 2020 Symposia on VLSI Technology and Circuits, imec presented a deterministic write scheme for voltage-controlled magnetic anisotropy (VCMA) magnetic random access memories (MRAMs), obviating the need for pre-reading the device before writing.

Power
17th June 2020
imec Si FinFET CMOS devices with integrated tungsten BPR

At the 2020 Symposia on VLSI Technology and Circuits, imec, presented a tungsten BPR (buried power rail) integration scheme in a FinFET CMOS test vehicle, which does not adversely impact the CMOS device characteristics

Design
5th June 2020
3D body shape visualisation for online shopping

Online shopping from the comfort of your own home has been on the rise for years, and this trend has been further amplified by the coronavirus pandemic. But when ordering clothes, trying to guess which size you need can be a real problem. Enter Shavatar, a UAntwerp and imec spin-off that is set to make this guesswork a thing of the past, thanks to innovative technology. All you have to do is create your own avatar for 3D body shape visualisation.

Sensors
19th May 2020
SafeDistance wearable helps social distancing

Lopos, a spin-off of imec and the Ghent University presents the Lopos SafeDistance wearable that supports companies in remaining active or safely restarting in-person activities during the COVID-19 pandemic.

Industries
29th April 2020
Spiking neural network-based chip from Imec

Imec has presented a chip that processes radar signals using a spiking recurrent neural network. The spiking neural network-based mimics the way groups of biological neurons operate to recognize temporal patterns, imec’s chip consumes 100 times less power than traditional implementations while featuring a tenfold reduction in latency – enabling almost instantaneous decision-making.

First Previous Page 2 of 20 Next Last

Product Spotlight

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier